EPYC

Origem: Wikipédia, a enciclopédia livre.
EPYC
AMD Epyc
Lançado
20 de junho de 2017; há 6 anos
Comercializado por
AMD
Projetado por
AMD
Fabricantes comuns
GlobalFoundries (14nm, 12nm)
TSMC (7nm, 5nm)
Desempenho
Máx. Taxa de clock de CPU
2,7GHz a 4,4GHz
Arquitetura e classiicação
Nó de tecnologia
14nm a 5nm
Microarquitetura
Conjunto de instruções
x86-64
Extenções
MMX(+), SSE1, SSE2, SSE3, SSSE3, SSE4a, SSE4.1, SSE4.2, AVX, AVX2, AVX-512 (com Zen 4 e posterior), FMA3, CVT16/F16C, ABM, BMI1, BMI2
AES, CLMUL, RDRAND, SHA, SME
AMD-V, AMD-Vi
Especificações físicas
Cores
até 128 cores/256 threados por socket
Memória (RAM)
até 12 canais de memória a 4,8 GT/s
Sockets
SP3
SP5
SP6
Produtos, modelos, variantes
Nomes principais
Naples
Rome
Milan
Genoa
Bergamo
Siena
Nome da marca
Epyc
História
Predecessor
Opteron

Epyc (também estilizado EPYC[1][2]) é uma marca de microprocessadores multi-core x86-64 projetados e vendidos pela AMD, baseados na microarquitetura Zen da empresa. Introduzidos em junho de 2017, eles são direcionados especificamente para os mercados de servidores e sistemas integrados.[3]

Os processadores Epyc compartilham a mesma microarquitetura de seus equivalentes regulares para desktop, mas possuem recursos de nível empresarial, como maior contagem de núcleos, mais pistas PCI Express, suporte para maiores quantidades de RAM e memória cache. Eles também suportam configurações de sistema multichip e de soquete duplo usando a interconexão Infinity Fabric.

História[editar | editar código-fonte]

Em março de 2017, a AMD anunciou planos para reentrar no mercado de servidores com uma plataforma baseada na microarquitetura Zen, codinome Naples, e revelou-a oficialmente sob a marca Epyc em maio.[4] Em junho daquele ano, a AMD lançou oficialmente os processadores da série Epyc 7001, oferecendo até 32 núcleos por soquete e permitindo um desempenho que permitiu ao Epyc ser competitivo com a linha de produtos escaláveis Intel Xeon concorrente.[5] Dois anos depois, em agosto de 2019, os processadores da série Epyc 7002 "Rome", baseados na microarquitetura Zen 2, foram lançados, dobrando a contagem de núcleos por soquete para 64 e aumentando drasticamente o desempenho por núcleo em relação à arquitetura de última geração.

Em março de 2021, a AMD lançou a série Epyc 7003 "Milan", baseada na microarquitetura Zen 3.[6] O Epyc Milan trouxe os mesmos 64 núcleos do Epyc Rome, mas com desempenho por núcleo muito maior, com o EPYC 7763 superando o EPYC 7702 em até 22 por cento, apesar de ter o mesmo número de núcleos e threads.[7] Uma atualização da série Epyc 7003 "Milan" com 3D V-Cache, chamada Milan-X, foi lançada em 21 de março de 2022, usando os mesmos núcleos do Milan, mas com 512 MB adicionais de cache empilhados nas matrizes de computação, elevando a quantidade total de cache por CPU para 768 MB.[8]

Em setembro de 2021, o Oak Ridge National Laboratory fez parceria com a AMD e HPE Cray para construir o Frontier, um supercomputador com 9.472 CPUs Epyc 7453 e 37.888 GPUs Instinct MI250X, tornando-se operacional em maio de 2022. Em novembro de 2023, é o supercomputador mais poderoso do mundo de acordo com o TOP500, com desempenho máximo de mais de 1,6 exaFLOPS.

Em novembro de 2021, a AMD detalhou as próximas gerações de EPYC, revelando também o novo soquete LGA-6096 SP5 que suportaria as novas gerações de chips Epyc. Com o codinome Genoa, as primeiras CPUs Epyc baseadas em Zen 4 são construídas no nó de processo N5 da TSMC e suportam até 96 núcleos e 192 threads por soquete, juntamente com 12 canais de DDR5,[9] 128 pistas PCIe 5.0 e Compute Express Link 1.1.[10] A AMD também compartilhou informações sobre o chip irmão de Genoa, codinome Bergamo. Bergamo é baseado em uma microarquitetura Zen 4 modificada chamado Zen 4c, projetada para permitir contagens de núcleos e eficiência muito maiores ao custo de menor desempenho de núcleo único, visando provedores de núvem e cargas de trabalho, em comparação com cargas de trabalho de computação tradicionais de alto desempenho.[11] Bergamo será compatível com o Socket SP5 e suportará até 128 núcleos e 256 threads por soquete.[12] É compatível com Socket SP5 e suporta até 128 núcleos e 256 threads por soquete.[13]

Em novembro de 2022, a AMD lançou sua linha Epyc de 4ª geração, codinome Genoa. Alguns revisores técnicos e clientes já haviam recebido hardware para testes e benchmarking, e benchmarks de terceiros de peças Gênova estavam imediatamente disponíveis. A parte principal, o Epyc 9654 de 96 núcleos, estabeleceu recordes de desempenho multi-core e mostrou desempenho de até 4× em comparação com a parte principal da Intel, o Xeon Platinum 8380. A alta largura de banda de memória e ampla conectividade PCIe removeram muitos gargalos, permitindo que todos os 96 núcleos a serem utilizados em cargas de trabalho onde os chips Milan da geração anterior teriam sido vinculados a I/O. Genoa também foi a primeira CPU de servidor x86 a suportar CXL, permitindo maior expansão de memória e outros dispositivos com uma interface de alta largura de banda construída em PCIe 5.0.

Em junho de 2023, a AMD começou a enviar a linha Genoa-X habilitada para 3D-Vcache, uma atualização do Genoa que usa a mesma tecnologia de empilhamento de matriz 3D do Milan-X para permitir até 1152 MB de cache L3, um aumento de 50% em relação ao Milan- X, que tinha no máximo 768 MB de cache L3.[14] No mesmo dia, a AMD também anunciou o lançamento de seus SKUs Zen 4c otimizados para nuvem, codinome Bergamo, oferecendo até 128 núcleos por soquete, utilizando uma versão modificada do núcleo Zen 4 que foi otimizado para eficiência de energia e para reduzir morrer espaço. Os núcleos Zen 4c não têm nenhuma instrução removida em comparação com os núcleos Zen 4 padrão; em vez disso, a quantidade de cache por núcleo é reduzida de 4 MB para 2 MB e a frequência dos núcleos é reduzida.[15] Bergamo é soquete compatível com Genoa, usando o mesmo soquete SP5 e suportando a mesma capacidade CXL, PCIe e DDR5 que Genoa.[15]

Em setembro de 2023, a AMD lançou sua série 8004 de CPUs integradas e de baixo consumo de energia, codinome Siena. Siena utiliza um novo soquete, chamado SP6, que ocupa menos espaço e número de pinos do que o soquete SP5 de seus processadores Genoa contemporâneos. Siena utiliza a mesma arquitetura de núcleo Zen 4c dos processadores nativos da nuvem Bergamo, permitindo até 64 núcleos por processador e o mesmo dado de I/O de 6 nm de Bergamo e Gênova, embora alguns recursos tenham sido reduzidos, como a redução do suporte de memória de 12 canais de DDR5 para apenas 6 e removendo o suporte de soquete duplo.[16]

Os codinomes da CPU AMD Epyc seguem o esquema de nomenclatura das cidades italianas, incluindo Milan, Rome, Naples, Genoa , Bergamo, Siena e Turin.

Gerações de CPU AMD Epyc[17][18][19][20][21]
Geração Ano Codenome Linha de produto Cores Socket Memória
Server
2017 Naples 7001 series 32 × Zen SP3 (LGA) DDR4
2019 Rome 7002 series 64 × Zen 2
2021 Milan 7003 series 64 × Zen 3
2022 Milan-X
Genoa 9004 series 96 × Zen 4 SP5 (LGA) DDR5
2023 Genoa-X
Bergamo 128 × Zen 4c
Siena 8004 series 64 × Zen 4 SP6 (LGA)
2025 Turin 9005 series 192 × Zen 5 SP5 (LGA)
6th TBA Venice SP7 (LGA)
Embedded
1st 2018 Snowy Owl Embedded 3001 series 16 × Zen SP4 (BGA) DDR4
2nd 2019 Naples Embedded 7001 series 32 × Zen SP3 (BGA)
3rd 2021 Rome Embedded 7002 series 64 × Zen 2
4th 2023 Genoa Embedded 9004 series 96 × Zen 4 SP5 (BGA) DDR5

Projeto[editar | editar código-fonte]

Uma segunda geração Epyc 7702 delidded, mostrando a configuração da matriz

As CPUs Epyc usam um design de módulo multichip para permitir rendimentos mais altos para uma CPU do que matrizes monolíticas tradicionais. As CPUs Epyc de primeira geração são compostas por quatro matrizes de computação de 14 nm, cada uma com até 8 núcleos.[22][23] Os núcleos são desativados simetricamente nas matrizes para criar produtos com menor capacidade com menos núcleos, mas a mesma I/O e consumo de memória. As CPUs Epyc de segunda e terceira geração são compostas por oito matrizes de computação construídas em um nó de processo de 7 nm e uma grande matriz de entrada/saída (I/O) construída em um nó de processo de 14 nm.[24] As CPUs Milan-X de terceira geração usam vias avançadas de silício para empilhar um dado adicional em cima de cada um dos 8 dies de computação, adicionando 64 MB de cache L3 por dado.[25]

As CPUs Epyc suportam operação de soquete único e de soquete duplo. Em uma configuração de soquete duplo, 64 pistas PCIe de cada CPU são alocadas para interconexão Infinity Fabric proprietária da AMD para permitir largura de banda total entre ambas as CPUs.[26] Assim, uma configuração de soquete duplo tem o mesmo número de pistas PCIe utilizáveis que uma configuração de soquete único. As CPUs Epyc de primeira geração tinham 128 pistas PCIe 3.0, enquanto a segunda e terceria geração tinham 128 pistas PCIe 4.0. Todas as CPUs Epyc atuais são equipadas com até oito canais de DDR4 em velocidades variadas, embora as CPUs Genoa da próxima geração sejam confirmadas pela AMD para suportar até doze canais de DDR5.[9][27]

Ao contrário do Opteron, dos equivalentes da Intel e dos processadores de desktop da AMD (excluindo Socket AM1), os processadores Epyc não possuem chipset - também conhecido como sistema em um chip. Isso significa que a maioria dos recursos necessários para tornar os servidores totalmente funcionais (como memória, PCI Express, controladores SATA, etc.) estão totalmente integrados ao processador, eliminando a necessidade de um chipset ser colocado na placa-mãe. Alguns recursos podem exigir o uso de chips controladores adicionais para serem utilizados.

Uma fotografia infravermelha próxima de um Epyc 7702 de segunda geração delidded

Recepção[editar | editar código-fonte]

A recepção inicial do Epyc foi geralmente positiva.[27] Em geral, descobriu-se que o Epyc supera as CPUs Intel emcasso em que os núcelos podem funcionar de forma independente, como sem computação de alto desempenho e aplicativos de big data. O Epyc de primeira geração ficou para trás nas tarefas de banco de dados em comparação com as peças Xeon da Intel devido à maior latência de cache.[27] Em 2021, a Meta Platforms selecionou chips Epyc para seus data centers metaversos.[28]

O Epyc Genoa foi bem recebido, pois ofereceu melhor desempenho e eficiência em comparação com as ofertas anteriores, embora tenha recebido algumas críticas por não ter 2 DIMMs por validação de configurações de canal, com alguns revisores chamando-o de "plataforma incompleta".[29]

Lista de processadores Epyc[editar | editar código-fonte]

Servidor[editar | editar código-fonte]

Epyc de primeira geração (Naples)[editar | editar código-fonte]

A tabela a seguir lista os dispositivos que usam o design de primeira geração.

Um sufixo "P" indica suporte para apenas uma configuração de soquete único. Os modelos não-P usam 64 pistas PCIe de cada processador para a comunicação entre processadores.

Recursos comuns das CPUs da série EPYC 7001:

  • Socket: SP3
  • Todas as CPUs suportam ECC DDR4-2666 no modo octa-channel (7251 suporta apenas DDR4-2400).
  • Cache L1: 96 KB (32 KB de dados + 64 KB de instruções) por núcleo.
  • Cache L2: 512 KB por núcleo.
  • Todas as CPUs suportam 128 pistas PCIe 3.0.
  • Processo de fabricação: GlobalFoundries 14LP.
Modelo[nota 1] Cores
(threads)
Taxa de clock (GHz) Cache L3
(total)
TDP Chiplets Core
config[nota 2]
Lançamento Opções
Embedded[nota 3]
Base Boost Data Preço
(USD)
All-core Max
7251[30][31][32] 8 (16) 2.1 2.9 2.9 32 MB 120 W 4 × CCD 8 × 1 Junho de 2017[33] $475 Sim[34]
7261[35][36][37] 2.5 64 MB 155/170 W 14 de junho de 2018[38] $570 Sim[39]
7281[40][36][32] 16 (32) 2.1 2.7 2.7 32 MB 8 × 2 20 de junho de 2017[33] $650 Sim[41]
7301[42][36][32] 2.2 64 MB $800 Sim[43]
7351P[44][36][32] 2.4 2.9 2.9 $750 735P[45]
7351[46][36][32] $1,100 Sim[47]
7371[48][36][49] 3.1 3.6 3.8 200 W 13 de novembro de 2018[50] $1,550 [51]
7401P[52][36][32] 24 (48) 2.0 2.8 3.0 155/170 W 8 × 3 20 de junho de 2017[33] $1,075 740P[53]
7401[54][36][32] $1,850 Sim[55]
7451[56][36][32] 2.3 2.9 3.2 180 W $2,400 Sim[57]
7501[58][36][32] 32 (64) 2.0 2.6 3.0 155/170 W 8 × 4 $3,400 Sim[59]
7551P[60][36][32] 2.55 180 W $2,100 755P[61]
7551[62][36][32] $3,400 Sim[63]
7571[64][65] 2.2 3.0 200 W 6 de novembro de 2018 OEM/AWS Desconhecido
7601[66][36][32] 2.7 3.2 180 W 20 de junho de 2017[33] $4,200 Sim[67]
  1. Os modelos com sufixos "P" são uniprocessadores, disponíveis apenas como configuração de soquete único.
  2. Core Complexes (CCX) × cores por CCX
  3. Os modelos da série Epyc integrados 7001 têm especificações idênticas às da série Epyc 7001.
Uma configuração de matriz Epyc de primeira geração
Uma CPU Epyc em um soquete SP3

Epyc de segunda geração (Rome)[editar | editar código-fonte]

Processador Epyc de primeira geração

Em novembro de 2018, a AMD anunciou o Epyc 2 em seu evento Next Horizon, a segunda geração de processadores Epyc de codinome "Rome" e baseado na microarquitetura Zen 2.[68] Os processadores apresentam até oito processadores "chiplet" baseados em 7 nm com um chip I/P baseado em 14 nm fornecendo 128 pistas PCIe 4.0 no centro interconectadas via Infinity Fabric. Os processadores suportam até 8 canais de RAM DDR4 de até 4 TB e apresentam suporte para PCIe 4.0. Esses processadores possuem até 64 núcleos com 128 threads SMT por soquete.[69] O "Rome" de 7 nm é fabricado pela TSMC.[24] Foi lançado em 7 de agosto de 2019.[70] Possui 39,5 bilhões de transistores.[71]

Em abril de 2020, a AMD lançou três novos SKUs usando a plataforma Rome de 7 nm da Epyc. Os três processadores introduzidos foram o Epyc 7F32 de oito núcleos, o 7F52 de 16 núcleos e o 7F72 de 24 núcleos, apresentando clocks base de até 3,7 GHz (até 3,9 GHz com boost) dentro de uma faixa de TDP de 180 a 240 watts. O lançamento foi apoiado pela Dell EMC, Hewlett Packard Enterprise, Lenovo, Supermicro e Nutanix.[72]

Recursos comuns dessas CPUs:

  • Codinome "Rome
  • Microarquitetura Zen 2
  • Processo TSMC 7nm
  • Socket SP3
  • 128 pistas PCIe
  • Suprte de memória DDR4-3200 no modo octa-channel
Modelo Data de
lançamento
Preço
(USD)
Fab Chiplets Cores
(Thread)
Core
config[nota 1]
Clock rate (GHz) Cache Socket
&
dimensionamento
TDP
Base Boost L1 L2 L3
7232P 7 de agosto de 2019 $450 TSMC
7FF
2 × CCD
1 × I/OD
8 (16) 4 × 2 3.1 3.2 32 KB inst.
32 KB data
(por core)
512 KB
(por core)
32 MB
(8 MB por CCX)
SP3
1P
120 W
7302P $825 4 × CCD
1 × I/OD
16 (32) 8 × 2 3 3.3 128 MB
(16 MB por CCX)
155 W
7402P $1250 24 (48) 8 × 3 2.8 3.35 180 W
7502P $2300 32 (64) 8 × 4 2.5 3.35
7702P $4425 8 × CCD
1 × I/OD
64 (128) 16 × 4 2 3.35 256 MB
(16 MB por CCX)
200 W
7252 $475 2 × CCD
1 × I/OD
8 (16) 4 × 2 3.1 3.2 64 MB
(16 MB por CCX)
SP3
(até) 2P
120 W
7262 $575 4 × CCD
1 × I/OD
8 × 1 3.2 3.4 128 MB
(16 MB por CCX)
155 W
7272 $625 2 × CCD
1 × I/OD
12 (24) 4 × 3 2.9 3.2 64 MB
(16 MB por CCX)
120 W
7282 $650 16 (32) 4 × 4 2.8 3.2
7302 $978 4 × CCD
1 × I/OD
8 × 2 3 3.3 128 MB
(16 MB por CCX)
155 W
7352 $1350 24 (48) 8 × 3 2.3 3.2
7402 $1783 8 × 3 2.8 3.35 180 W
7452 $2025 32 (64) 8 × 4 2.35 3.35 155 W
7502 $2600 8 × 4 2.5 3.35 180 W
7532 $3350 8 × CCD
1 × I/OD
16 × 2 2.4 3.3 256 MB
(16 MB por CCX)
200 W
7542 $3400 4 × CCD
1 × I/OD
8 × 4 2.9 3.4 128 MB
(16 MB por CCX)
225 W
7552 $4025 6 × CCD
1 × I/OD
48 (96) 12 × 4 2.2 3.3 192 MB
(16 MB per CCX)
200 W
7642 $4775 8 × CCD
1 × I/OD
16 × 3 2.3 3.3 256 MB
(16 MB por CCX)
225 W
7662 $6150 64 (128) 16 × 4 2 3.3 225 W
7702 $6450 2 3.35 200 W
7742 $6950 2.25 3.4 225 W
7H12 18 de setembro de 2019 2.6 3.3 280 W
7F32 14 de abril de 2020[73] $2100 4 × CCD
1 × I/OD
8 (16) 8 × 1 3.7 3.9 128 MB
(16 MB por CCX)
180 W
7F52 $3100 8 × CCD
1 × I/OD
16 (32) 16 × 1 3.5 3.9 256 MB
(16 MB por CCX)
240 W
7F72 $2450 6 × CCD
1 × I/OD
24 (48) 12 × 2 3.2 3.7 192 MB
(16 MB por CCX)
240 W
  1. Core Complexes (CCX) × cores por CCX
A parte inferior de uma CPU Epyc montada em um suporte de plástico

Epyc de terceira geração (Milan)[editar | editar código-fonte]

No HPC-AI Advisory Council no Reino Unido em outubro de 2019, a AMD declarou especificações para chips Milan, chips Epyc baseados na microarquitetura Zen 3.[74] Os chips Milan usarão o Socket SP3, com até 64 núcleos no pacote, e suportarão DDR4 SDRAM de oito canais e 128 pistas PCIe 4.0.[74] Também anunciou planos para a geração subsequente de chips, codinome Genoa, que será baseado na microarquitetura Zen 4 e usará Socket SP5.[74]

As CPUs Milan foram lançadas pela AMD em 15 de março de 2021.[75]

As CPUs Milan-X foram lançadas em 21 de março de 2022.[8] Eles usam a tecnologia 3D V-Cache para aumentar a capacidade máxima do cache L3 por soquete de 256 MB para 768 MB.[76][77][78]

Modelo Preço
(USD)
Fab Chiplets Cores
(Thread)
Core
config[nota 1]
Taxa de clock
(GHz)
Cache Socket
&
dimensionamento
TDP
Base Boost L1 L2 L3
7773X $8800 TSMC
7FF
8 × CCD
1 × I/OD
64 (128) 8 × 8 2.20 3.50 32 KB inst.
32 KB data
(por core)
512 KB
(por core)
768 MB
(96 MB por CCX)
SP3
(até) 2P
280 W
7763 $7890 2.45 3.40 256 MB
32 MB por CCX
280 W
7713 $7060 2.00 3.675 225 W
7713P $5010 SP3
1P
7663 $6366 56 (112) 8 × 7 2.00 3.50 SP3
(até) 2P
240 W
7663P $3139 SP3
1P
7643 $4995 48 (96) 8 × 6 2.30 3.60 SP3
(até) 2P
225 W
7643P $2722 SP3
1P
7573X $5590 32 (64) 8 × 4 2.80 3.60 768 MB
(96 MB por CCX)
SP3
(até) 2P
280 W
75F3 $4860 2.95 4.00 256 MB
(32 MB por CCX)
7543 $3761 2.80 3.70 225 W
7543P $2730 256 MB
(32 MB por CCX)
SP3
1P
7513 $2840 2.60 3.65 128 MB
(16 MB por CCX)
SP3
(até) 2P
200 W
7453 $1570 4 × CCD
1 × I/OD
28 (56) 4 × 7 2.75 3.45 64 MB
(16 MB por CCX)
225 W
7473X $3900 8 × CCD
1 × I/OD
24 (48) 8 × 3 2.80 3.70 768 MB
(96 MB por CCX)
240 W
74F3 $2900 3.20 4.00 256 MB
(32 MB por CCX)
7443 $2010 4 × CCD
1 × I/OD
4 × 6 2.85 4.00 128 MB
(32 MB por CCX)
200 W
7443P $1337 SP3
1P
7413 $1825 2.65 3.60 SP3
(até) 2P
180 W
7373X $4185 8 × CCD
1 × I/OD
16 (32) 8 × 2 3.05 3.80 768 MB
(96 MB por CCX)
240 W
73F3 $3521 3.50 4.00 256 MB
(32 MB por CCX)
7343 $1565 4 × CCD
1 × I/OD
4 × 4 3.20 3.90 128 MB
(32 MB por CCX)
190 W
7313 $1083 3.00 3.70 155 W
7313P $913 SP3
1P
7303 $604 2 × CCD
1 × I/OD
2 x 8 2.40 3.40 64 MB
(32 MB por CCX)
SP3
(até) 2P
130 W
7303P $594 SP3
1P
72F3 $2468 8 × CCD
1 × I/OD
8 (16) 8 × 1 3.70 4.10 256 MB
(32 MB por CCX)
SP3
(até) 2P
180 W
7203 $348 2 × CCD
1 × I/OD
2 x 4 2.80 3.40 64 MB
(32 MB por CCX)
120 W
7203P $338 SP3

1P

  1. Core Complexes (CCX) × cores por CCX


Epyc de quarta geração (Genoa, Bergamo e Siena)[editar | editar código-fonte]

Em 10 de novembro de 2022, a AMD lançou a quarta geração de servidores Epyc e processadores de data center baseados na microarquitetura Zen 4, codinome Genoa.[79] Em seu evento de lançamento, a AMD anunciou que a Microsoft e o Google seriam alguns dos clientes de Genoa.[80] Genoa apresenta entre 16 e 96 núcleos com suporte para PCIe 5.0 e DDR5. A AMD também deu ênfase à eficiência energética de Genoa, o que, de acordo com a CEO da AMD, Lisa Su, significa “menor custo total de propriedade” para clientes corporativos e de datacenter em nuvem.[81] Genova usa o novo Socket SP5 (LGA 6096) da AMD.[82]

Em 13 de junho de 2023, a AMD apresentou Genoa-X com tecnologia 3D V-Cache para desempenho de computação técnica e Bergamo (9734, 9754 e 9754S) para computação nativa em nuvem.[83]

Em 18 de setembro de 2023, a AMD apresentou a linha de processadores Siena de baixo consumo de energia, baseada na microarquitetura Zen 4c. Siena suporta até 64 núcleos no novo soquete SP6, que atualmente é usado apenas por processadores Siena. Siena usa o mesmo chip de I/O que Bergamo, porém certos recursos, como suporte de soquete duplo, são removidos e outros recursos são reduzidos, como a mudança do suporte de memória de 12 canais para suporte de memória de 6 canais.[84]

Modelo Fab Cores
(Thread)
Chiplets Core
config[nota 1]
Taxa de clock
(GHz)
Cache (MB) Socket Contagem de
Socket
Pistas
PCIe 5.0
Suporte de
memória
TDP Data de
lançamento
Preço
(USD)
Base Boost L1 L2 L3 DDR5 ECC
Low Power & Edge (Zen 4c cores)
8024P[85] TSMC
N5
8 (16) 4 × CCD
1 × I/OD
4 × 2 2.4 3.0 0.5 8 32 SP6 1P 96 DDR5-4800
six-channel
90 W 18 de setembro de 2023 $409
8024PN[86] 2.05 80 W $525
8124P[87] 16 (32) 4 × 4 2.45 1 16 64 125 W $639
8124PN[88] 2.0 100 W $790
8224P[89] 24 (48) 4 × 6 2.55 1.5 24 160 W $855
8224PN[90] 2.0 120 W $1,015
8324P[91] 32 (64) 4 × 8 2.65 2 32 128 180 W $1,895
8324PN[92] 2.05 130 W $2,125
8434P[93] 48 (96) 4 × 12 2.5 3.1 3 48 200 W $2,700
8434PN[94] 2.0 3.0 155 W $3,150
8534P[95] 64 (128) 4 × 16 2.3 3.1 4 64 200 W $4,950
8534PN[96] 2.0 155 W $5,450
Mainstream Enterprise (Zen 4 cores)
9124[97] TSMC
N5
16 (32) 4 × CCD
1 × I/OD
4 × 4 3.0 3.7 1 16 64 SP5 1P/2P 128 DDR5-4800
twelve-channel
200 W 10 de novembro de 2022 $1,083
9224[98] 24 (48) 4 × 6 2.5 3.7 1.5 24 200 W $1,825
9254[99] 4 × 6 2.9 4.15 128 220 W $2,299
9334[100] 32 (64) 4 × 8 2.7 3.9 2 32 210 W $2,990
9354[101] 8 × CCD
1 × I/OD
8 × 4 3.25 3.75 256 280 W $3,420
9354P[102] 1P $2,730
Performance Enterprise (Zen 4 cores)
9174F[103] TSMC
N5
16 (32) 8 × CCD
1 × I/OD
8 × 2 4.1 4.4 1 16 256 SP5 1P/2P 128 DDR5-4800
twelve-channel
320 W 10 de novembro de 2022 $3,850
9184X[104] 3.55 4.2 768 13 de junho de 2023 $4,928
9274F[105] 24 (48) 8 × 3 4.05 4.3 1.5 24 256 10 de novembro de 2022 $3,060
9374F[106] 32 (64) 8 × 4 3.85 4.3 2 32 $4,860
9384X[107] 3.1 3.9 768 13 de junho de 2023 $5,529
9474F[108] 48 (96) 8 × 6 3.6 4.1 3 48 256 360 W 10 de novembro de 2022 $6,780
High Performance Computing (Zen 4 cores)
9454[109] TSMC
N5
48 (96) 8 × CCD
1 × I/OD
8 × 6 2.75 3.8 3 48 256 SP5 1P/2P 128 DDR5-4800
twelve-channel
290 W 10 de novembro de 2022 $5,225
9454P[110] 1P $4,598
9534[111] 64 (128) 8 × 8 2.45 3.7 4 64 1P/2P 280 W $8,803
9554[112] 3.1 3.75 360 W $9,087
9554P[113] 1P $7,104
9634[114] 84 (168) 12 × CCD
1 × I/OD
12 × 7 2.25 3.7 5.25 84 384 1P/2P 290 W $10,304
9654[115] 96 (192) 12 × 8 2.4 3.7 6 96 360 W $11,805
9654P[116] 1P $10,625
9684X[117] 2.55 3.7 1152 1P/2P 400 W 13 de junho de 2023 $14,756
Cloud (Zen 4c cores)
9734[118] TSMC
N5
112 (224) 8 × CCD
1 × I/OD
8 x 14 2.2 3.0 7 112 256 SP5 1P/2P 128 DDR5-4800
twelve-channel
340 W 13 de junho de 2023 $9,600
9754S[119] 128 (128) 8 x 16 2.25 3.1 8 128 360 W $10,200
9754[120] 128 (256) $11,900
  1. Core Complexes (CCX) × cores por CCX


Integrado[editar | editar código-fonte]

Epyc de primeira geração (Snowy Owl)[editar | editar código-fonte]

Em fevereiro de 2018, a AMD também anunciou a série EPYC 3000 de CPUs Zen integradas.[121]

Recursos comuns das CPUs EPYC Embedded série 3000:

  • Socket: SP4 (modelos 31xx e 32xx usam pacote SP4r2).
  • Todas as CPUs suportam ECC DDR4-2666 no modo dual-channel (3201 suporta apenas DDR4-2133), enquanto os modelos 33xx e 34xx suportam o modo quad-channel.
  • Cache L1: 96 KB (32 KB de dados + 64 KB de instruções) por núcleo.
  • Cache L2: 512 KB por núcleo.
  • Todas as CPUs suportam 32 pistas PCIe 3.0 por CCD (máximo de 64 pistas).
  • Processo de fabricação: GlobalFoundries 14 nm.
Modelo Cores
(threads)
Taxa de clock (GHz) Cache L3
(total)
TDP Chiplets Core
config[nota 1]
Data de lançamento
Base Boost
All-core Max
3101[122][123] 4 (4) 2.1 2.9 2.9 8 MB 35 W 1 x CCD 1 × 4 21 de fevereiro de 2018
3151[124][123] 4 (8) 2.7 16 MB 45 W 2 × 2
3201[125][123] 8 (8) 1.5 3.1 3.1 30 W 2 × 4
3251[126][123] 8 (16) 2.5 55 W
3255[127][128] 25–55 W dezembro de 2018
3301[123] 12 (12) 2.0 2.15 3.0 32 MB 65 W 2 x CCD 4 × 3 21 de fevereiro de 2018
3351[129][123] 12 (24) 1.9 2.75 60–80 W
3401[123] 16 (16) 1.85 2.25 85 W 4 × 4
3451[130][123] 16 (32) 2.15 2.45 80–100 W
  1. Core Complexes (CCX) × cores por CCX


Variantes chinesas[editar | editar código-fonte]

Uma variante criada para o mercado de servidores chinês pela Hygon Information Technology é o sistema Hygon Dhyana em um chip.[131][132] É considerado uma variante do AMD Epyc e é tão semelhante que "há pouca ou nenhuma diferenciação entre os chips".[131] Foi notado que há "menos de 200 linhas de novo código do kernel" para suporte ao kernel Linux, e que o Dhyana é "principalmente uma CPU Zen renomeada para o mercado de servidores chinês".[132] Benchmarks posteriores mostraram que certas instruções de ponto flutuante têm um desempenho pior, provavelmente para cumprir as retrições de exportação dos EUA.[133] AES e outros algoritmos de criptografia ocidentais são substituídos por variantes chinesas em todo o projeto.[134]


Referências

  1. «Produtos AMD EPYC™». Consultado em 4 de outubro de 2022 
  2. «Processadores AMD EPYC™». Consultado em 4 de outubro de 2022 
  3. Cutress, Ian. «Computex 2017: AMD Press Event Live Blog». www.anandtech.com 
  4. Kampman, Jeff (16 de maio de 2017). «AMD's Naples datacenter CPUs will make an Epyc splash». Tech Report. Consultado em 4 de outubro de 2022 
  5. Cutress, Ian (20 de junho de 2017). «AMD's Future in Servers: New 7000-Series CPUs Launched and EPYC Analysis». Anandtech.com. Consultado em 4 de outubro de 2022 
  6. Paul Alcorn (15 de março de 2021). «Watch AMD's EPYC 7003 Milan Launch Here». Tom's Hardware (em inglês). Consultado em 4 de outubro de 2022 
  7. «AMD EPYC 7763 vs AMD EPYC 7702 [cpubenchmark.net] by PassMark Software». www.cpubenchmark.net. Consultado em 4 de outubro de 2022 
  8. a b «AMD EPYC 7003 "Milan-X" launches March 21st, specs and pricing leaked». VideoCardz.com (em inglês). Consultado em 4 de outubro de 2022 
  9. a b Cutress, Dr Ian. «AMD Gives Details on EPYC Zen4: Genoa and Bergamo, up to 96 and 128 Cores». www.anandtech.com. Consultado em 4 de outubro de 2022 
  10. Mujtaba, Hassan (28 de fevereiro de 2021). «AMD EPYC Genoa CPU Platform Detailed - Up To 96 Zen 4 Cores, 192 Threads, 12-Channel DDR5-5200, 128 PCIe Gen 5 Lanes, SP5 'LGA 6096' Socket». Wccftech (em inglês). Consultado em 4 de outubro de 2022 
  11. servethehome (8 de novembro de 2021). «AMD Bergamo to hit 128 Cores and Genoa at 96 Cores». ServeTheHome (em inglês). Consultado em 4 de outubro de 2022 
  12. Mujtaba, Hassan (10 de janeiro de 2022). «Another AMD EPYC Genoa 'Zen 4' CPU Leaks Out, This Time A 16 Core Chip With 2 Active CCDs». Wccftech (em inglês). Consultado em 4 de outubro de 2022 
  13. Mujtaba, Hassan (10 de janeiro de 2022). «Another AMD EPYC Genoa 'Zen 4' CPU Leaks Out, This Time A 16 Core Chip With 2 Active CCDs». Wccftech (em inglês). Consultado em 18 de maio de 2024 
  14. Smith, Ryan. «AMD: EPYC "Genoa-X" CPUs With 1.1GB of L3 Cache Now Available». www.anandtech.com. Consultado em 21 de maio de 2024 
  15. a b Kennedy, Patrick (19 de julho de 2023). «AMD EPYC Bergamo is a Fantastically Fresh Take on Cloud Native Compute» (em inglês). ServeTheHome. Consultado em 21 de maio de 2024 
  16. Kennedy, Patrick (18 de setembro de 2023). «AMD EPYC 8004 Siena Launched for Lower Power EPYC Edge». ServeTheHome (em inglês). Consultado em 21 de maio de 2024 
  17. Cutress, Ian (27 de maio de 2019). «AMD Confirms Zen 4 EPYC Codename, and Elaborates on Frontier Supercomputer CPU». AnandTech.com 
  18. Anandtech. «AMD Updated EPYC Roadmap: 5th Gen EPYC "Turin" Announced, Coming by End of 2024» 
  19. btarunr (10 de junho de 2022). «AMD Announces the "Zen 5" Microarchitecture and EPYC "Turin" Processor on 4nm». TechPowerUp. Consultado em 21 de maio de 2024 
  20. Shilov, Anthon (14 de março de 2023). «AMD Rolls Out EPYC Embedded 9004 CPUs: Up to 96 Cores». Tom's Hardware (em inglês). Consultado em 21 de maio de 2024 
  21. Shilov, Anthon (18 de setembro de 2023). «AMD Launches EPYC 8004-Series 'Siena' CPUs: Up to 64 Zen 4c Cores». Tom's Hardware (em inglês). Consultado em 21 de maio de 2024 
  22. Cutress, Ian (7 de março de 2017). «AMD Prepares 32-Core Naples CPUs for 1P and 2P Servers: Coming in Q2». Anandtech. Consultado em 4 de outubro de 2022 
  23. Morris, John (13 de março de 2018). «Inside GlobalFoundries' long road to the leading edge». ZDNet. Consultado em 4 de outubro de 2022 
  24. a b Smith, Ryan (26 de julho de 2018). «AMD "Rome" EPYC CPUs to Be Fabbed By TSMC». AnandTech. Consultado em 4 de outubro de 2022 
  25. «AMD Milan-X CPU with 3D V-Cache Available in Four SKUs, Up to 64-Cores». HPCwire (em inglês). 21 de março de 2022. Consultado em 4 de outubro de 2022 
  26. Kampman, Jeff (7 de março de 2017). «AMD's Naples platform prepares to take Zen into the datacenter». Tech Report. Consultado em 4 de outubro de 2022 
  27. a b c De Gelas, Johan; Cutress, Ian (11 de julho de 2017). «Sizing Up the Servers: Intel's Skylake-SP Xeon vs AMD's EPYC 7000». Anandtech. Consultado em 4 de outubro de 2022 
  28. Sozzi, Brian (8 de novembro de 2021). «Chipmaker AMD just scored a big deal with Meta». finance.yahoo.com (em inglês) 
  29. Kennedy, Patrick (22 de novembro de 2022). «Gigabyte has a 48 DIMM 2P AMD EPYC Genoa GPU Server at SC22» (em inglês). ServeTheHome. Consultado em 21 de maio de 2024 
  30. https://www.amd.com/en/product/2006
  31. «AMD EPYC 7000 Series Processors: Leading Performance for the Cloud Era» (PDF). Advanced Micro Devices, Inc. Agosto de 2018. p. 2 
  32. a b c d e f g h i j k l Cutress, Ian (20 de junho de 2017). «AMD's Future in Servers: New 7000-Series CPUs Launched and EPYC Analysis». Anand Tech. Consultado em 22 de setembro de 2022 
  33. a b c d Kennedy, Patrick (16 de maio de 2017). «AMD EPYC New Details on the Emerging Server Platform». Serve The Home. Consultado em 22 de setembro de 2022 
  34. https://www.amd.com/en/product/9756
  35. https://www.amd.com/en/product/7791
  36. a b c d e f g h i j k l m «AMD EPYC 7000 Series Processors» (PDF). AMD. Janeiro de 2019. Consultado em 23 de maio de 2024 
  37. «AMD EPYC 7261 - PS7261BEV8RAF». CPU-World. 23 de maio de 2024 
  38. Kennedy, Patrick (31 de outubro de 2018). «AMD EPYC 7261 8 Core CPU Quietly Launched L3 Cache Monster». ServeTheHome (em inglês). Consultado em 23 de maio de 2024 
  39. https://www.amd.com/en/product/9751
  40. https://www.amd.com/en/product/2001
  41. https://www.amd.com/en/product/9746
  42. https://www.amd.com/en/product/1996
  43. https://www.amd.com/en/product/9741
  44. https://www.amd.com/en/product/1991
  45. https://www.amd.com/en/product/9771
  46. https://www.amd.com/en/product/1986
  47. https://www.amd.com/en/product/9736
  48. https://www.amd.com/en/product/8386
  49. «AMD EPYC 7371 - PS7371BDVGPAF». CPU-World. 23 de maio de 2024 
  50. «New AMD-Powered Supercomputers Unleash Discovery and Accelerate Innovation» (Nota de imprensa). AMD. 13 de novembro de 2018. Consultado em 23 de maio de 2024 
  51. https://www.amd.com/en/product/9731
  52. https://www.amd.com/en/product/1981
  53. https://www.amd.com/en/product/9766
  54. https://www.amd.com/en/product/1976
  55. https://www.amd.com/en/product/9726
  56. https://www.amd.com/en/product/1971
  57. https://www.amd.com/en/product/9721
  58. https://www.amd.com/en/product/1966
  59. https://www.amd.com/en/product/9716
  60. https://www.amd.com/en/product/1961
  61. https://www.amd.com/en/product/9761
  62. https://www.amd.com/en/product/1956
  63. https://www.amd.com/en/product/9711
  64. «AMD EPYC 7571 - PS7571BDVIHAF». CPU-World. 23 de maio de 2024 
  65. Larabel, Michael (7 de novembro de 2018). «A Look At The AMD EPYC Performance On The Amazon EC2 Cloud». Phoronix. Consultado em 23 de maio de 2024 
  66. https://www.amd.com/en/product/1951
  67. https://www.amd.com/en/product/9706
  68. «AMD Takes High-Performance Datacenter Computing to the Next Horizon». AMD (em inglês). Consultado em 4 de outubro de 2022 
  69. Gordon Mah Ung (7 de novembro de 2018). «What AMD's 64-core 'Rome' server CPU tells us about Ryzen 2». PCWorld. Consultado em 4 de outubro de 2022 
  70. «2nd Gen AMD EPYC Processors Set New Standard for the Modern Datacenter with Record-Breaking Performance and Significant TCO Savings». AMD. 7 de agosto de 2019. Consultado em 4 de outubro de 2022 
  71. S. Smith, Matthew (2022). «Single-Chip Processors Have Reached Their Limits». IEEE Spectrum. 59 (7). p. 11 
  72. Trader, Tiffany (14 de abril de 2020). «AMD Launches Three New High-Frequency Epyc SKUs Aimed at Commercial HPC». www.hpcwire.com 
  73. «New 2nd Gen AMD EPYC™ Processors Redefine Performance for Database, Commercial HPC and Hyperconverged Workloads». AMD. 23 de maio de 2024 
  74. a b c Alcorn, Paul (5 de outubro de 2019). «AMD dishes on Zen 3 and Zen 4 architecture, Milan and Genoa roadmap». Tom's Hardware. Consultado em 4 de outubro de 2022 
  75. Trader, Tiffany (15 de março de 2021). «AMD Launches Epyc 'Milan' with 19 SKUs for HPC, Enterprise and Hyperscale». HPCwire (em inglês) 
  76. «AMD's Milan-X slide deck». 21 de março de 2022 
  77. «Anandtech's Milan-X article» 
  78. «AMDs Exascale-Hammer: Epyc 3 mit 804 MByte Cache, Instinct MI200 mit 47,9 TFlops». c't Magazin (em alemão). 8 de novembro de 2021 
  79. Mujtaba, Hassan (10 de novembro de 2022). «AMD 4th Gen EPYC 9004 "Genoa Zen 4" CPUs Launched: Up To 96 Cores, 192 Threads, 384 MB L3 Cache & Crushing All Other Server Chips». Wccftech (em inglês). Consultado em 21 de maio de 2024 
  80. Lee, Jane Lanhee; Mehta, Cahvi (10 de novembro de 2022). «AMD launches data center CPU 'Genoa', taps Google, Microsoft as customers». Reuters (em inglês). Consultado em 21 de maio de 2024 
  81. Burt, Jeffrey (10 de novembro de 2022). «The Acute Role Reversal for AMD and Intel in Datacenter Compute». The Next Platform (em inglês). Consultado em 21 de maio de 2024 
  82. Alcorn, Paul (10 de novembro de 2022). «AMD 4th-Gen EPYC Genoa 9654, 9554, and 9374F Review: 96 Cores, Zen 4 and 5nm Disrupt the Data Center». Tom's Hardware (em inglês). Consultado em 21 de maio de 2024 
  83. «AMD Expands 4th Gen EPYC CPU Portfolio with Leadership Processors for Cloud Native and Technical Computing Workloads». amd.com. Santa Clara, California. 13 de junho de 2023. Consultado em 21 de maio de 2024 
  84. «AMD Completes 4th Gen EPYC Family with the AMD EPYC 8004 Processors, Purpose Built for Cloud Services, Intelligent Edge and Telco». amd.com. Santa Clara, California. 13 de junho de 2023. Consultado em 21 de maio de 2024 
  85. https://www.amd.com/en/products/cpu/amd-epyc-8024p
  86. https://www.amd.com/en/products/cpu/amd-epyc-8024pn
  87. https://www.amd.com/en/products/cpu/amd-epyc-8124p
  88. https://www.amd.com/en/products/cpu/amd-epyc-8124pn
  89. https://www.amd.com/en/products/cpu/amd-epyc-8224p
  90. https://www.amd.com/en/products/cpu/amd-epyc-8224pn
  91. https://www.amd.com/en/products/cpu/amd-epyc-8324p
  92. https://www.amd.com/en/products/cpu/amd-epyc-8324pn
  93. https://www.amd.com/en/products/cpu/amd-epyc-8434p
  94. https://www.amd.com/en/products/cpu/amd-epyc-8434pn
  95. https://www.amd.com/en/products/cpu/amd-epyc-8534p
  96. https://www.amd.com/en/products/cpu/amd-epyc-8534pn
  97. https://www.amd.com/en/products/cpu/amd-epyc-9124
  98. https://www.amd.com/en/products/cpu/amd-epyc-9224
  99. https://www.amd.com/en/products/cpu/amd-epyc-9254
  100. https://www.amd.com/en/products/cpu/amd-epyc-9334
  101. https://www.amd.com/en/products/cpu/amd-epyc-9354
  102. https://www.amd.com/en/products/cpu/amd-epyc-9354p
  103. https://www.amd.com/en/products/cpu/amd-epyc-9174f
  104. https://www.amd.com/en/products/cpu/amd-epyc-9184x
  105. https://www.amd.com/en/products/cpu/amd-epyc-9274f
  106. https://www.amd.com/en/products/cpu/amd-epyc-9374f
  107. https://www.amd.com/en/products/cpu/amd-epyc-9384x
  108. https://www.amd.com/en/products/cpu/amd-epyc-9474f
  109. https://www.amd.com/en/products/cpu/amd-epyc-9454
  110. https://www.amd.com/en/products/cpu/amd-epyc-9454p
  111. https://www.amd.com/en/products/cpu/amd-epyc-9534
  112. https://www.amd.com/en/products/cpu/amd-epyc-9554
  113. https://www.amd.com/en/products/cpu/amd-epyc-9554p
  114. https://www.amd.com/en/products/cpu/amd-epyc-9634
  115. https://www.amd.com/en/products/cpu/amd-epyc-9654
  116. https://www.amd.com/en/products/cpu/amd-epyc-9654p
  117. https://www.amd.com/en/products/cpu/amd-epyc-9684x
  118. https://www.amd.com/en/products/cpu/amd-epyc-9734
  119. https://www.amd.com/en/products/cpu/amd-epyc-9754S
  120. https://www.amd.com/en/products/cpu/amd-epyc-9754
  121. Alcorn, Paul (21 de fevereiro de 2018). «AMD Launches Ryzen Embedded V1000, EPYC Embedded 3000 Processors». tom's HARDWARE. Consultado em 4 de outubro de 2022 
  122. https://www.amd.com/en/product/7266
  123. a b c d e f g h «Product Brief: AMD EPYC Embedded 3000 Family» (PDF). AMD. 2018. Consultado em 25 de maio de 2024 
  124. https://www.amd.com/en/product/7261
  125. https://www.amd.com/en/product/7256
  126. https://www.amd.com/en/product/7251
  127. https://www.amd.com/en/product/8856
  128. «AMD EPYC Embedded 3255 - PE3255BGR88AF». CPU-World. 26 de março de 2023 
  129. https://www.amd.com/en/product/9011
  130. https://www.amd.com/en/product/9006
  131. a b Alcorn, Paul (6 de julho de 2018). «China Finds Zen: Begins Production Of x86 Processors Based On AMD's IP». Tom's Hardware. Consultado em 4 de outubro de 2022 
  132. a b Larabel, Michael (9 de junho de 2018). «Hygon Dhyana: Chinese x86 Server CPUs Based On AMD Zen». Phoronix. Consultado em 4 de outubro de 2022 
  133. Cutress, Ian. «Testing a Chinese x86 CPU: A Deep Dive into Zen-based Hygon Dhyana Processors». www.anandtech.com 
  134. Cutress, Ian, & Wilson, Wendell. «Testing a Chinese x86 CPU: A Deep Dive into Zen-based Hygon Dhyana Processors». www.anandtech.com. Consultado em 4 de outubro de 2022